MFA-Nachbildung wird langsam vollständig

Da immer mehr Zusi User von einem 1:1 Führerstand mit träumen, soll es zumindest an Datenaustausch nicht hapern.
Nachricht
Autor
Jim_Knopf
Beiträge: 48
Registriert: 14.09.2004 20:15:22
Wohnort: Bonn

#41 Beitrag von Jim_Knopf »

...tja, und jetzt kommt der fiese Rest aus ´Layer 19´!

Viel Erfolg!!

Greetz

Chris

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#42 Beitrag von ImmoBirnbaum »

Tja, da muss ich noch etwas re-organisieren, damit der Autorouter nicht
vollständig an dem Entwurf verzweifelt...
Heute hab ich mich erstmal um einen Leuchtmelder-Kasten gekümmert.
Mit ein paar Kunststoff-Platten und Modellbaukleber von Conrad hab
ich folgendes zu Stande gebracht:

Bild

Jetzt muss ich das Teil nur noch lackieren und die Plexiglas-Scheiben
einsetzen sowie die Folien für die Beschriftungen durch den Laser jagen
und die LEDs anbauen, dann bin ich der MFA schon wieder ein Stück
näher...

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#43 Beitrag von ImmoBirnbaum »

Bild

Es wird, es wird...
Wenn diese Platine soweit funktioniert wie sie soll, kommt noch eine zweite
mit der restlichen Ansteuerung dazu sowie eine dritte (handgelötete) mit
der Spannungsversorgung. In den Sommerferien werde ich in der Uni
an einem Industrieprojekt mitentwickeln, und da werde ich bestimmt auch
rausfinden können, wo die Uni ihre Platinen herstellen lässt und ob ich mich
da evtl. mal mit ranhängen kann.

MfG
Immo

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#44 Beitrag von ImmoBirnbaum »

So, es lief natürlich nicht ganz alles auf Anhieb rund, und ich habe
bisher die Tachos noch nicht angeschlossen, aber das das Rechteck-
Signal korrekt erzeugt wird, sollte das wohl gehen... Was leider
zu Anfang nicht lief, war die LZB-Distanz-Anzeige (der Bargraph).
Irgendwie tauchten in den Taktsignalen, die die Datenwerte in die
D-Flipflops einspeisen, immer wieder kleine Spitzen auf, so dass immer
zu viele LEDs angingen oder einfach nur Müll angezeigt wurde, aber
eine Umstellung des Zustandsautomaten von Mealy auf Moore hat
geholfen, und endlich funktioniert der Bargraph. SW-mässig habe ich
das Teil auch schon eingebunden, ich hoffe, heute Abend ein paar neue
Bilder online stellen zu können, wenn ich das Teil mit echten Daten aus
dem Simulator füttere.

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#45 Beitrag von ImmoBirnbaum »

*Trommelwirbel*

Ich präsentiere nunmehr (nicht ganz ohne Stolz...) die LZB-Anzeigeneinheit:

Bild

Unterwegs mit einer 146 + RE zwischen Düsseldorf und Leverkusen.

Und wer das Ding mal in Aktion sehen will kann sich das auch als Video
ansehen:

http://users.informatik.haw-hamburg.de/ ... action.mpg

Ist 3 MB groß.

So, auf zu neuen Abenteuern: Spannungsversorgungsplatine, LM-Controller,
Platine mit den Operationsverstärkern und ganz nebenbei auch noch für
meine 5 Klausuren lernen, die ich in 3 Wochen schreiben muss...

MfG
Immo

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#46 Beitrag von ImmoBirnbaum »

Heute wird die nächste Version der MFA-Platine in die Produktion ge-
schickt:

Bild

Diese Platine beinhaltet folgende Funktionen:
-Ansteuerung Tacho (12 Bit für höhere Genauigkeit)
-Ansteuerung Tacho, soll-Nadel
-Ansteuerung Traktionsanzeige, ist und soll
-Ansteuerung für 32 Leuchtmelder (oder auch ne SIFA-Tröte oder sowas,
das ist egal)
-LZB-Bargraph
-Fahrstufen-Anzeige
-LZB-Distanz-Anzeige
-Ansteuerung Oberspannungsanzeige
-Ansteuerung Oberstromanzeige

Ausserdem ist noch, da der Chip das eh unterstützt, ein I2C-Port drauf.
Die Platine basiert auf 2 Xilinx-CPLDs der 9500er-Serie mit jeweils
144 Macrocells. Angeschlossen wird die Platine wieder über den
USB-IO-Warrior-

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#47 Beitrag von ImmoBirnbaum »

So, morgen werde ich die SMD-Ausrüstung in der Uni belagern, heute ist
die fertige Platine eingetroffen:

Bild

Diese Platine wird aber definitiv ein Einzelstück bleiben, denn bei den CPLDs
(von denen ich bis heute nur eins geliefert bekommen habe) gibt es ein
Problem: diese Type wurde von Xilinx still und heimlich vom Markt ge-
nommen, den Chip gibt es nicht mehr im TQ100-Gehäuse. Für den fehlenden
Chip wird mir unser Laborleiter in der Uni aushelfen, der verwendet die
gleichen Chips, aber der weiss noch nichts davon, dass er seine ganzen
Platinendesigns auch noch anpassen muss...

Ich hätte ja gerne schon bei diesem Prototypen ein FPGA verbaut, wäre da
nicht diese leidige 3,3 auf 5,0V-Konvertierung...
Zuletzt geändert von ImmoBirnbaum am 05.12.2005 12:00:19, insgesamt 1-mal geändert.

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#48 Beitrag von ImmoBirnbaum »

So, heute gibts mal wieder ein Update zum Thema Fahrpultbau!

Nachdem die neue Platine hier angekommen war, habe ich sie zwar
noch vor Weihnachten fertig bestückt bekommen, hatte aber keine
Zeit mehr, viel damit anzustellen, da ich dann schon für die Klausuren
lernen musste, die seit diesem Montag endlich hinter mir liegen (nur
noch 2 Semester, hurra!). Diejenigen, die in Braunschweig mit dabei
waren, haben die bisherige und die neue Platine aber immerhin schon
mal zu Sehen bekommen. Für alle Anderen: so sieht die aktuelle
MFA-Platine aus:

Bild
(Wie unschwer zu erkennen ist, hat sich mal wieder der Fehlerteufel einge-
schlichen...)

Kurz vor dem Treffen habe ich dann den bisherigen Stand der Bastelei ins
Auto gepackt und bin damit in die Uni gefahren, um eine kleine
Präsentation zu halten. Der Grund dafür: die Aufgabenstellung in
Projektmanagement: "denken Sie sich eine kreative Geschäftsidee aus,
mit der Sie eine Marktlücke füllen, und führen Sie Ihre Ausarbeitungen
vor". Ein paar Minuten lang ZUSI im Zusammenspiel mit meiner Hardware
gezeigt, ein wenig was von Finanzplanung erzählt, fertig war die Laube.

Das ganze sah dann so aus:

Bild

Danach hat sich bis gestern nicht viel getan. Die Platine war zwar soweit
fertig, aber für ein "mal eben ein paar Tests " fehlte die Zeit, da ich die
Software komplett neu schreiben musste. Gestern Abend war also der
magische Moment da, und nach einer kleineren Anpassung (und einem
fast-zerschießen der Chips durch unbeabsichtigte Überspannung) lief
der Tacho fehlerfrei - und zwar mit einer Auflösung von 12 Bit (also
4096 möglichen Werten) statt bisher 8 Bit (256 Werte), so dass jetzt
eine wesentlich höhere Genauigkeit des Tachos erreicht wird.

Das kontinuierliche Hochzählen des Tachowerts sieht folgendermaßen
aus:

http://users.informatik.haw-hamburg.de/ ... ttacho.mov
(ist ne Quicktime-Datei, sorry, meine Kamera ist halt alt ;-) )

Auch die in Altenbeken von Andreas gestellte Aufgabe "Ansteuern einer
echten Traktionsanzeige" ist inzwischen gelöst, die zusätzliche Schaltung,
um mit der mittigen Nullstellung klarzukommen ist kompakt ausgefallen:

Bild

Die Hilfsplatinen (neue Version des Bargraph, 7Seg-Platinen) werde ich
im Laufe der Woche in die industrielle Fertigung schicken, außerdem
werde ich morgen mal ein wenig im Baumarkt einkaufen, um einen Pult-
Prototypen aus Holz zu bauen, das richtige Pult lasse ich mir dann
schweißen. Wie es aussieht wird das Pult kein wirkliches konkretes
Vorbild haben, da, obwohl ich eigentlich immer einen E-Lok-Führerstand
in Planung hatte, ich natürlich auch mal nen Diesel fahren will. Ich fand
bei meiner Fototour den Führerstand der DE2700 als Vorlage recht
interessant, da er nicht so weit vom Einheitsführertisch abweicht und
nicht diese leidige Sonnenschutzblende hat, bei der ich noch nicht so
recht weiss, wie ich sie konstruieren sollte.

Also wird es hier demnächst wieder ein paar neue Fotos geben...

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#49 Beitrag von ImmoBirnbaum »

Leider habe ich immer noch keine neueren Bilder im Angebot, aber mal
wieder eine technische Neuerung: ich bin, was den Chip auf der Platine
angeht, inzwischen auf ein Spartan3-FPGA umgestiegen, was erstens
bedeutet, dass *alle* Funktionen in einen einzelnen Chip passen, und als
weiteres Feature habe ich jetzt in Planung, einen TCP/IP-Stack in den Chip
einzubauen, so dass man die Platine nur an einen Netzwerk-Switch an-
klemmen muss und sie sich dann automatisch am TCP-Server anmeldet.
Durch den größeren Chip können außerdem alle Rundanzeigen eine
Genaugkeit von 16 Bit haben, was die meisten erschwinglichen I/O-
Karten wohl nicht bieten dürften.

Wenn es nennenswerte Fortschritte gibt, gibts Bilder.
SAAB - more than a car

Antworten