MFA-Nachbildung wird langsam vollständig

Da immer mehr Zusi User von einem 1:1 Führerstand mit träumen, soll es zumindest an Datenaustausch nicht hapern.
Nachricht
Autor
ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

MFA-Nachbildung wird langsam vollständig

#1 Beitrag von ImmoBirnbaum »

Moin,

heute habe ich es endlich hinbekommen: nach einem funktionierenden
Tacho habe ich jetzt auch eine funktionierende Traktions-Anzeige.
Da ich keine "richtige" Traktionsanzeige habe, sondern nur mehrere
normale Tachos, habe ich einen auseinandergebaut, dessen Skala einge-
scannt und eine neue Skala gedruckt. Dann habe ich einen zweiten
I2C-Empfänger zusammengelötet, wobei der im Moment noch 2 etwas
seltsame Probleme macht, die ließen sich aber vorerst mal mit einer
anderen Adressierung und einem Pull-Up-Widerstand beheben, so dass
ich die beiden Anzeigen im Zusammenspiel vorhin ausprobiert habe.
In den nächsten paar Tagen sollte hier noch eine Lieferung von Reichelt
eintreffen, dann kommt die Fahrstufenanzeige und die LM-Einheit dazu.
Irgendwann muss ich auch nochmal das Display der Zugfunk90 an-
gesteuert kriegen, das mache ich aber am besten nach meinen Klausuren
Ende Januar...

Hier ist ein kleines Video aufgenommen mit einer 111:
http://www.informatik.haw-hamburg.de/~b ... zeigen.mov
(4 MB groß, Quicktime-Format)

Nach ca. 10 Sekunden habe ich aufgrund des 20-Sekunden-Limits meiner
Kamera auf Zeitraffer umgestellt, daher werden die Zeigerbewegungen
zum Ende hin schneller.
Für alle, die keine Lust haben, die 4 MB runterzuladen kann ich morgen
nochmal normale Fotos hier reinstellen.

MfG
Immo

Benutzeravatar
Daniel Schuhmann
Beiträge: 1147
Registriert: 21.04.2003 18:50:37
Aktuelle Projekte: Nüscht
Wohnort: Miesbach
Kontaktdaten:

#2 Beitrag von Daniel Schuhmann »

Das sieht ja richtig gut aus :] *neid*

Die Idee, einen normalen Tacho zu nehmen, ist gar nicht so dumm, dann hat man einigermaßen vernünftige Spannungen und nur ein Potenzial.
An meiner Lösung für die "richtige" Traktionsanzeige bastel ich noch, mein Feind ist allerdings eher die Zeit.

Daniel
Signaturen können bis zu 50 Zeichen lang sein und

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#3 Beitrag von ImmoBirnbaum »

Hier ist nochmal ein normales Foto, für alle Schmalbandsurfer da
draußen ;D

Volle Kraft voraus mit der BR 101.
Man kann noch sehen, dass ich das Loch in der selbstgedruckten
Tachoscheibe etwas unsauber geschnitten habe, aber ich habe mich
eh darauf verschrieben (der höchste Wert auf der Bremsen-Skala
müsste 160 statt 140 sein) und habe natürlich umgehend nach dem
Ausdrucken das Papier mit einem Schraubenzieher verkratzt (quer über
das "bremsen"). Die Skala soll noch Zwischenwerte etc. erhalten,
sobald sie fertig ist lasse ich sie auf Folie drucken.

Bild

Heute morgen ist das Päckchen von Reichelt angekommen - je nach
Lust und Laune wird es also vielleicht noch in diesem Jahr eine Fahr-
stufenanzeige geben.

MfG
Immo
Zuletzt geändert von ImmoBirnbaum am 29.12.2004 14:09:59, insgesamt 1-mal geändert.

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#4 Beitrag von ImmoBirnbaum »

Zuerst mal ein frohes neues Jahr allen, die hier mitlesen! (Und allen
anderen natürlich auch, aber die lesen das hier ja u.U. nicht).

Im alten Jahr habe ich zwar nicht mehr an der Fahrstufenanzeige
gearbeitet, aber dafür habe ich auf Lochraster eine Platine gelötet,
mit der ich die DIL-Variante des Microcontrollers meines Vertrauens
programmieren kann, so dass ich die programmierten Chips auf
selbst erstellten Platinen einsetzen kann. Mit dieser Voraussetzung
habe ich in EAGLE eine Platine für den Empfang und die Verarbeitung
von 2 Tachosignalen entworfen, den ich nächste Woche entwickeln
werden lasse. Einen Screenshot des Entwurfs habe ich hier deponiert.
Wenn diese Platine fertig ist, könnte ich mir vorstellen, noch ein paar
andere Platinen (LM-Steuerung, AD-Wandler, LCD-Display-Controller,
Fahrstufenanzeige, LZB-Anzeige etc.) zu entwerfen und quasi eine Serie
daraus zu machen. Neuigkeiten dann wie immer hier.

MfG
Immo
[/url]

Andreas Karg
Beiträge: 4718
Registriert: 28.04.2002 12:56:00
Kontaktdaten:

#5 Beitrag von Andreas Karg »

Wo lässt du das entwickeln? Bei nem Kumpel mit Ätzausrüstung oder irgendwo wo's Geld kosten tut? Immerhin ist deine Platine zweiseitig, das verkompliziert die Herstellung ja ein wenig...

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#6 Beitrag von ImmoBirnbaum »

Da wo's was kostet. Leider ist der Spaß ziemlich teuer, aber ich will
nicht ewig nur Lochrasterplatinen zusammenbasteln. Ich wollte mir
eigentlich mal eine komplette Ätz-Ausrüstung zulegen, aber der
ganze Chemie-Kram scheint ein ziemliches Theater zu sein in Sachen
Wiederverwendbarkeit und Entsorgung... Ausserdem brauche ich
so nicht noch von Hand durchkontaktieren und Bohren.

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#7 Beitrag von ImmoBirnbaum »

Heute habe ich also die Tacho-Platine zur Entwicklung gemailt.
Da die Platine so klein ist und sowieso nicht die Mindestgröße, die
berechnet wird, erreicht, bekomme ich gegen einen kleinen Aufpreis
2 Platinen, um die Mindestfläche voll zu machen, also werde ich
dann gleich 2 Platinen für 4 Tachos haben. Weiterhin werde ich
meine bisherigen Baumuster und die entwickelten Platinen von
Steckdrähten auf richtige Steckverbinder umrüsten, so dass der
Wust von Drähten mal etwas kleiner wird. Und vielleicht habe ich
nächstes Wochenende die Fahrstufenanzeige fertig - ich muss vorher
nochmal zu Conrad. Ausserdem ist dank ultraheller weisser und grüner
LEDs mein Tacho jetzt auch im Nachtbetrieb nutzbar (2 weisse LEDs
von hinten, 4 grüne von der Seite):

Bild

Das Nichtvorhandensein eines MFA-Gehäuses hat im Dunkeln spektaku-
läre Effekte zur Folge! ;D


Bild

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#8 Beitrag von ImmoBirnbaum »

Kommen wir gleich zur Sache, Bilder sagen ja schließlich mehr als
Worte:

Bild

Dieser ganze Krempel ist an einer lausigen Anzeige beteiligt:

Bild

So ganz zuverlässig funktioniert die Anzeige noch nicht, da der
Microcontroller sehr schnell getaktet ist und daher auch dazu neigt,
Werte abzugreifen, wenn der I2C-Empfänger gerade die Outputs
ändert und somit auch das Select-Bit (das bestimmt, ob der Wert auf
die linke oder die rechte Anzeige geht), so dass manchmal beide
Zahlen gleich sind oder einfach Schrott rauskommt. Da werde ich
wohl auf der fertigen Platine noch ein paar Flip-Flops einsetzen oder
mir sonst irgend eine Form der Synchronisierung ausdenken müssen...

MfG
Immo

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#9 Beitrag von ImmoBirnbaum »

Ein kleiner Zwischenstand: ich habe jetzt auch Oberspannungs- /
Oberstromanzeigen, die funktionieren auch mit meiner Tachoplatine,
benötigen aber eine höhere Eingangsspannung (ca. 8-9V im günstigsten
Fall), so dass ich die ganze Konstruktion jetzt am 12V-Trafo betreibe,
aber sowas gibts ja auch als Steckernetzteil...
Nächste Woche werden die Teile geliefert, mit denen ich das Interface
zum I2C-Bus auf USB umstellen werde (mit einem FTDI FT232).
Eine MFA-Frontplatte könnte ich wohl auch in den nächsten Wochen
bekommen, je nachdem wann ich Lust habe beim Metallbauer reinzu-
schauen.

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#10 Beitrag von ImmoBirnbaum »

Ich muss zwar noch ein paar Löcher bohren und die markierten Flächen
aussägen, aber ich denke mal, man kann erkennen, was das wird:

Bild

Jetzt muss ich nur noch rausfinden, wo ich das "roh-Plastik" für die Einfassung
des LM-Kastens und des LZB-Kastens herbekomme, und wie ich das
vernünftig bearbeitet kriege...

Benutzeravatar
Carsten Hölscher
Administrator
Beiträge: 33450
Registriert: 04.07.2002 00:14:42
Wohnort: Braunschweig
Kontaktdaten:

#11 Beitrag von Carsten Hölscher »

Polystorol-Platten verschiedener Stärke bekommt man (in Braunschweig) in einem sehr gut sortierten Laden für Modellbau (der ist speziell ausgerichtet auf die Belange von Architektur- und Kunststudenten). Die sind zwar weiß, aber kann man ja am Ende lackieren. Bearbeiten kann man es im Prinzip wie Holz.

Carsten

Benutzeravatar
Daniel R.
Beiträge: 2096
Registriert: 25.11.2004 16:45:31
Aktuelle Projekte: Führerstand BR 155
Wohnort: Hannover

#12 Beitrag von Daniel R. »

Carsten Hölscher hat geschrieben:Polystorol-Platten verschiedener Stärke bekommt man (in Braunschweig) in einem sehr gut sortierten Laden für Modellbau (der ist speziell ausgerichtet auf die Belange von Architektur- und Kunststudenten). Die sind zwar weiß, aber kann man ja am Ende lackieren. Bearbeiten kann man es im Prinzip wie Holz.

Carsten
Wat, wo is'n der? Für Eigenbauwagen und Häuser unserer MoBa brauchen wir ständig solche Kunststoffplatten und wissen nicht, wo wir die herbekommen sollen.

EDIT: Gibt es da auch Plexiglas?

Gruß
Daniel
Zuletzt geändert von Daniel R. am 06.03.2005 13:06:33, insgesamt 1-mal geändert.

Benutzeravatar
Carsten Hölscher
Administrator
Beiträge: 33450
Registriert: 04.07.2002 00:14:42
Wohnort: Braunschweig
Kontaktdaten:

#13 Beitrag von Carsten Hölscher »

Direkt gegenüber von der HBK (also einmal den Ring überqueren)

Plexiglas weiß ich nicht, müßte es aber auch im Baumarkt geben, oder?

Carsten

Benutzeravatar
Daniel R.
Beiträge: 2096
Registriert: 25.11.2004 16:45:31
Aktuelle Projekte: Führerstand BR 155
Wohnort: Hannover

#14 Beitrag von Daniel R. »

Ja, Pesxiglas gibts auch im Baumarkt, da ist die Auswahl von Stärke und Größe nicht so groß und Milchplexiglas hab ich dort noch gar nicht gesehen.

Gruß
Daniel

Benutzeravatar
Daniel R.
Beiträge: 2096
Registriert: 25.11.2004 16:45:31
Aktuelle Projekte: Führerstand BR 155
Wohnort: Hannover

#15 Beitrag von Daniel R. »

Carsten Hölscher hat geschrieben:Direkt gegenüber von der HBK (also einmal den Ring überqueren)
Dann ist das doch Graphiti, oder? Ich dachte immer, dass das nur so ein Bastelgeschäft für Papier/Pappe Stifte und Pinsel ist.

Daniel

Benutzeravatar
Carsten Hölscher
Administrator
Beiträge: 33450
Registriert: 04.07.2002 00:14:42
Wohnort: Braunschweig
Kontaktdaten:

#16 Beitrag von Carsten Hölscher »

jo, das ist der. Ist schon ein paar Jahre her, daß ich das letzte Mal da drinwar, aber damals führten die jede Menge Modellbauzubehör im Sortiment, sogar Tannenbäumchen, Messing-Ätzteile usw.
Und die Inhaber sind auch sehr engagiert, also die würden bestimmt auch Sachen ranschaffen, die gerade nicht direkt verfügbar sind.

Carsten

Benutzeravatar
JoergPorsch
Beiträge: 2105
Registriert: 18.07.2003 03:53:56
Wohnort: Nürnberg

#17 Beitrag von JoergPorsch »

Daniel Reinecke hat geschrieben:Ja, Pesxiglas gibts auch im Baumarkt, da ist die Auswahl von Stärke und Größe nicht so groß und Milchplexiglas hab ich dort noch gar nicht gesehen.

Gruß
Daniel
Nimm normales Plexiglas und geh mit nem Schwingschleifer drüber und satiniere es. Wirkt wie Milchglas. ;D
Gruss Joerg mit oe

Tf auf 101, 110, 111, 112, 113, 114, 115, 120, 139, 140, 143, 145, 146, 150, 151, 152, 182, 183, 185, 186, 189, 1016, 1116, 1216, 218, 246, 285, 223, 253, 290-295, 360-365, 401, 402, 403, 406, 410, 411, 415, 440, 610, 612, 614, 620, 622, 650, X60, X61, X62 und div. Stwg

Benutzeravatar
Christoph Blümer
Beiträge: 1441
Registriert: 18.02.2003 19:47:39
Wohnort: Waiblingen (b. Stuttgart)

#18 Beitrag von Christoph Blümer »

Ein bisschen zu warm machen hilft auch, dann trübt Plexiglas ein... :rolleyes:

Christoph

etzi
Beiträge: 20
Registriert: 29.08.2002 09:02:33

#19 Beitrag von etzi »

Hallo,

hier wird man richtig neidisch, wenn man das alles so sieht. Aber woher bezieht ihr die Informationen, wie das ganze nun angesteuert wird? Ich habe zwar einen elektrischen Tacho, aber ich habe keinen Plan, wie ich den an den PC anschließen soll, aber so, daß er auch noch richtig geht. Das mit den Schaltern und so ist ja das kleinste Problem. Dann wären noch die Anzeigelampen.

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#20 Beitrag von ImmoBirnbaum »

So, es gibt mal wieder ein wenig was neues.
Ich habe jetzt auch eine Ansteuerung über USB hinbekommen und
habe vorhin das letzte noch fehlende Modul in VHDL fertig programmiert,
welches die LZB-Anzeige ist. So sieht das Ganze von Innen aus ;D

Bild

Jetzt versuche ich gerade, alle Module in einen Chip zu pressen, so
dass der fertige Chip folgende Funktionen hat:
4 Rundanzeigen-Ansteuerungen (z.B. Tacho,Traktion,Obersp.,Oberstr.)
1 Fahrstufenanzeige
1 LZB-Modul (Bargraph+Distanz-Anzeige)

und vielleicht bekomme ich mit ganz viel Glück noch eine LM-Steuerung
in den selben Chip, sonst muss ein zweiter dafür herhalten (ich habe
im Chip noch massig Platz für logische Gleichungen und ein paar Pins
sind auch noch frei, aber mir gehen die Register aus...)

Wenn die Tests mit handgelöteten Platinen gut verlaufen, dann habe
ich vielleicht in 1-2 Wochen eine fertige Platine, die (fast) die komplette
MFA nachstellt.

@etzi:
Naja, man bekommt ja recht einfach raus, dass die Tachos spannungs-
abhängig einen Wert anzeigen. Also war bei mir der erste Gedanke:
Digital-Analog-Wandler. Dann sucht man sich bei Reichelt oder Conrad
einen entsprechenden Chip raus, und schaut im entsprechenden Daten-
blatt des Chips nach, wie man den ansteuern muss. Da der Digital-
Analog-Wandler laut Datenblatt einen Operationsverstärker benötigt,
habe ich auch so einen Chip besorgt und dann leider festgestellt, dass
diese Ansteuerungsmethode wegen meines Netzteils nicht richtig hin-
hauen würde. Deswegen habe ich auf Rechtecksignale mit variablem
Tastverhältnis zurückgegriffen, das hatte ich zu dem Zeitpunkt schon
in der Uni behandelt, und so sucht man sich halt einen Weg, meistens
eben anhand von Datenblättern.

MfG
Immo

Antworten