Endlich eine funktionierende Tachosteuerung...

Da immer mehr Zusi User von einem 1:1 Führerstand mit träumen, soll es zumindest an Datenaustausch nicht hapern.
Antworten
Nachricht
Autor
ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

Endlich eine funktionierende Tachosteuerung...

#1 Beitrag von ImmoBirnbaum »

Ich habe es jetzt auch endlich geschafft, meine DEUTA-Tachos
mit meinem Microcontroller (Motorola 68HC08) angesteuert zu
bekommen. Nachdem ich eine halbe Ewigkeit mit einem 8-Bit-
DAC und einem Operationsverstärker rumprobiert habe, und diese
Variante wegen des gewählten Typs Operationsverstärker und
dem Fehlen eines Netzteils mit negativem Ausgang schliesslich
scheiterte, habe ich jetzt die Ansteuerung über ein Rechtecksignal
mit variablem Tastverhältnis (Tacho zeigt den Effektivwert an)
hinbekommen. Das schöne daran:
- man braucht nur einen einzigen Pin pro Tacho am Microcontroller
- als Operationsverstärker wird nur ein Spannungsfolger benötigt,
dies kann ein Op-Amp sein, der mit +5V gegen GND betrieben wird
(z.B. LM358), somit wird keine negative Spannung für den Op-Amp
benötigt
- ich kann auf meiner Platine den Microcontroller, den Op-Amp und den
Tacho mit ein und dem selben 7805-Festspannungsregler betreiben

Ich musste nur nach einer vernünftigen Periodendauer suchen (zu lang:
Nadel zittert, zu kurz: geringere Genauigkeit), und nun funktioniert die
Angelegenheit auch präzise und gleichmässig auf dem gesamten Nadel-
spektrum.

Falls das jemand mal ausprobieren will, kann ich gerne ne Schaltung
hier reinstellen. Angeblich haben die Microcontroller von Atmel (AVR-
Serie) sogar eine eingebaute Funktion für Rechtecksignale mit var.
Tastverhältnis, diese Microcontroller habe ich allerdings selbst noch nie
benutzt.

MfG

P.S. Ausserdem habe ich jetzt mit dem Entwurf eines Bus-Systems
für die verschiedenen Fahrpult-Module (Tacho-Ansteuerung, LED-
Ansteuerung, Schalter-Ansteuerung etc.) angefangen, bin jetzt schon
fleissig am VHDL-coden, um das ganze dann erstmal mit ModelSim
zu simulieren. Mal schauen, wann ich den ersten Platinen-Entwurf fertig
habe.
Zuletzt geändert von ImmoBirnbaum am 20.11.2004 20:01:28, insgesamt 1-mal geändert.

Benutzeravatar
Carsten Hölscher
Administrator
Beiträge: 33450
Registriert: 04.07.2002 00:14:42
Wohnort: Braunschweig
Kontaktdaten:

#2 Beitrag von Carsten Hölscher »

da besteht sicherlich Interesse, mittelfristig auf jeden Fall auch bei mir.

Carsten

Benutzeravatar
Daniel Schuhmann
Beiträge: 1147
Registriert: 21.04.2003 18:50:37
Aktuelle Projekte: Nüscht
Wohnort: Miesbach
Kontaktdaten:

#3 Beitrag von Daniel Schuhmann »

Mich interessiert immer, was die "Konkurrenz" macht, nur rein damit ;)
Signaturen können bis zu 50 Zeichen lang sein und

Benutzeravatar
Michael_Poschmann
Beiträge: 19881
Registriert: 05.11.2001 15:11:18
Aktuelle Projekte: Modul Menden (Sauerland)
Wohnort: Str.Km "1,6" der Oberen Ruhrtalbahn (DB-Str. 2550)

#4 Beitrag von Michael_Poschmann »

Vielleicht reicht es ja für eine Kleinserie... ;)

Gruß
Michael

Bernhard Raschke
Beiträge: 658
Registriert: 18.02.2003 18:32:49
Wohnort: 72655 Altdorf

#5 Beitrag von Bernhard Raschke »

Hallo Immo,

dringendes Interesse, bitte weiterverfolgen und uns auf dem Laufenden halten.
Grüssle Bernhard

Benutzeravatar
AndreasBrandtner
Administrator
Beiträge: 2367
Registriert: 04.11.2001 14:10:41
Wohnort: Quickborn, Schleswig Holstein

#6 Beitrag von AndreasBrandtner »

Bernhard Raschke hat geschrieben:Hallo Immo,

dringendes Interesse, bitte weiterverfolgen und uns auf dem Laufenden halten.

DITO!
Andreas Brandtner
****************

Marcel Engler(Marcelinio)
Beiträge: 157
Registriert: 31.12.2002 12:14:46
Aktuelle Projekte: BR111 Fahrpult Für Zusi
Wohnort: München
Kontaktdaten:

#7 Beitrag von Marcel Engler(Marcelinio) »

hi,
da ich in meinem Fahrpult auch ein MFA mit tachos von Deuta verbaut habe und diese noch nicht Funktionieren hätte ich auch interesse.

Gruß Marcel

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#8 Beitrag von ImmoBirnbaum »

Ich kann gerne bescheid sagen, wenn es was neues gibt. Ich habe
ein paar interessante Seiten zum I2C-Bus gefunden, da könnte man
den PC als Master benutzen und für die Slave-Module gibt es fertige
ICs, die den seriellen Datenstrom wieder verwertbar machen. Muss
ich morgen glatt mal nach der Uni bei Conrad Electronic reinschauen...

Benutzeravatar
Daniel Schuhmann
Beiträge: 1147
Registriert: 21.04.2003 18:50:37
Aktuelle Projekte: Nüscht
Wohnort: Miesbach
Kontaktdaten:

#9 Beitrag von Daniel Schuhmann »

ImmoBirnbaum hat geschrieben:[...]da könnte man
den PC als Master benutzen[...]
Dann sicherlich über den parallelen oder seriellen Port oder? Leider sterben diese Schnittstellen langsam aus oder sind es sogar schon (zahlreiche Notebooks haben wenn überhaupt nur noch LPT). Ich favorisiere da eher eine Lösung mit USB und entsprechendem Controller, der 8051-Kompatible AN2131 tut es da ganz gut. Ich bin an einer entsprechenden Ansteuerung dran.

Daniel
Signaturen können bis zu 50 Zeichen lang sein und

Benutzeravatar
Daniel Rüscher aka Merlin
Beiträge: 2294
Registriert: 23.01.2003 02:25:50
Aktuelle Projekte: Aktuell keine
Wohnort: Traunreut
Kontaktdaten:

#10 Beitrag von Daniel Rüscher aka Merlin »

Oder man geht her, um solche Probleme zu vermeiden, und schaltet einen USB-Seriell Konverter davor.
How to waste bits in a My SQL Database?

Like this.....

Benutzeravatar
Daniel Schuhmann
Beiträge: 1147
Registriert: 21.04.2003 18:50:37
Aktuelle Projekte: Nüscht
Wohnort: Miesbach
Kontaktdaten:

#11 Beitrag von Daniel Schuhmann »

Ist aber saulangsam und funktioniert nicht immer. Ne richtige native (nicht naive!!!) USB-Lösung ist da schon besser.
Signaturen können bis zu 50 Zeichen lang sein und

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#12 Beitrag von ImmoBirnbaum »

Am Wochenende hat natürlich mal wieder nix geklappt. Wieso?
Donnerstag bekam ich die Mail von Reichelt, dass meine Bauteile,
die ich bestellt hatte, unterwegs sind. Also sind sie Freitag da.
Denkste. Freitag war nichts in der Post, also Samstag. Samstag
sah es genau so dürftig aus, nichts ist gekommen. Sonntag Abend
um 8 klingelt mein Nachbar und drückt mir mein Paket in die Hand.
Das hätte der Postbote falsch abgegeben, und er hätte es etwas ver-
pennt es rüberzubringen :§$% Naja ich sollte ihm wohl dankbar sein,
dass er es angenommen hat, aber die Pläne, in Sachen Tachosteuerung
am Wochenende was zum Laufen zu bringen waren jetzt also nichts.
Daher habe ich erst heute angefangen zu basteln, und hier ist das
erste Resultat:

Dies ist die Interface-Platine vom PC, die die Signale des I2C-Busses
auf serielle Daten für den PC wandelt und umgekehrt. Wie man wohl
erkennen kann, hält sich der Materialaufwand in Grenzen.
Rechts daneben liegt eine Platine mit einem Port-Baustein von
Philips, mit dem man 8 Bit entweder vom Bus lesen oder auf den
Bus übertragen kann. Diesen 8-Bit-Anschluss muss ich nur noch an
den Microcontroller anschliessen, der wiederum mit dem Tacho
verbunden ist, und wenn es dann auch noch funktionieren würde, wäre
ich schon ein ganzes Stück weiter... Die Leiste mit den 6 Anschluss-
Pins ist für das Bus-Kabel, es sind nur 4 Pins belegt (VCC,GND,SCL,SDA),
ich habe aber noch Abstand zwischen VCC und GND gelassen, nur zur
Sicherheit...

Bild

Die Rückseite der Platine verdient wohl das Prädikat "künstlerisch Wert-
voll" :mua

Bild

Als nächstes gilt es nun also, ein einfaches Testprogramm zu schreiben.
Wenn denn alles funktionieren sollte, wäre es bei der Wahl eines aus-
reichend dimensionierten Microcontroller durchaus möglich, an einen
Empfänger z.B. 8 Tachos anzuschliessen.

Wenn es Fortschritte gibt, melde ich mich wieder.

MfG
Immo

Benutzeravatar
AndreasBrandtner
Administrator
Beiträge: 2367
Registriert: 04.11.2001 14:10:41
Wohnort: Quickborn, Schleswig Holstein

#13 Beitrag von AndreasBrandtner »

Hört sich interessant an! Es gibt ja auch für den I2C Bus einen Wandler. Der hat 4 Analoge Eingänge und einen Analogen Ausgang. Jedoch war hierbei die Auflösung auf 255 begrenzt. Also könnte man sagen 1 km/h = 1 Bit, wobeoi bei mir später der Tachos bis 300 gehen sollte.
Wie sieht es den da mit deiner Variante aus? Den Vorteil deiner Variante gegenüber der vom Daniel ist das ich anscheint einen simplen Portexpander benutzen kann sonnst hätte ich 4 von den Wandlerbausteinen gebraucht. Pro Wnalder eine Nadel.
Andreas Brandtner
****************

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#14 Beitrag von ImmoBirnbaum »

So, ich war übers Wochenende mal wieder fleißig.
Leider hat sich das Problem ergeben, dass der Microcontroller
mit 2 Tachos nicht so wirklich zurechtkommt. Das ganze war
ein wenig wackelig und irgendwie hat auch der Op-Amp gezickt.
Also habe ich das ganze erstmal wieder auf einen Tacho runter-
geschraubt. Ich werde mir die Tage eine Programmierplatine für
PICs oder AVRs oder sowas billiges zusammenlöten, damit ich das
ganze auch mal mit einem Microcontroller testen kann, der nicht
15 Euro pro Chip kostet und der nicht SMD-gelötet werden muss.
Im Moment sieht das funktionierende Prinzip folgendermaßen
aus:
Eine Platine für einen Tacho enthält:
1 Portbaustein
1 Microcontroller
1 Op-Amp
sowie den ganzen Kleinkram wie Widerstände, Anschlußpins, DIP-
Schalter für die Bausteinadresse etc.

Tachoanzahl pro Board hin oder her, im Moment habe ich noch
das Problem, dass Delphi 4 nicht mit dem Code von Daniels Test-
Client für den TCP-Empfang klarkommt, und der Empfang der
Geschwindigkeitsdaten ist somit im Moment die letzte Hürde.

Die Auflösung ist 8 Bit pro Tacho, also 255 Tastfaktorwerte für den
gesamten Bewegungsbereich der Nadel. Wenn mein Tacho jetzt
nicht nur bis 150 ginge sondern bis 300, so würde theoretisch 1 Bit
auf dem Tacho 1.17 km/h ausmachen, wenn ich jetzt noch berück-
sichtige, dass (zumindest bei all meinen Tachos) im Bereich von 0
bis 20 km/h die Skala kleiner ist und somit weniger TF-Werte benötigt
werden, komme ich auf 1.13 km/h pro Bit. Für mich reicht das erstmal
im Moment, denn so wahnsinnig genau sind die Tachos auch nicht.

Ich habe mal meine Digitalkamera bemüht, um ein paar Videos vom
Tacho in Aktion zu machen. Die Videos sind jeweils 2.4 MB groß,
leider kann meine alte Olympus keine Videos speichern, die länger
als 20 Sekunden sind, daher die Aufteilung.

Alsdann:
http://www.informatik.haw-hamburg.de/~b ... 010173.MOV
Im 1. Video ist der Tacho in Aktion zu sehen: im kleiner skalierten
Bereich zwischen 0 und 20 sowie im regulären Bereich darüber.

http://www.informatik.haw-hamburg.de/~b ... 010174.MOV
Im 2. Video habe ich die Sprungfunktion getestet: erst wird auf 120
km/h gesprungen, danach auf 0 km/h. Danach habe ich wieder normal
hochgezählt und mal aufs Oszilloskop gehalten, um einmal das Prinzip
des Rechtecksignals mit variablem Tastverhältnis zu illustrieren.

http://www.informatik.haw-hamburg.de/~b ... 010175.MOV
3. Video, noch mehr Oszilloskop: etwas Hochzählen, dann Sprung auf
120, dann Sprung auf 0 km/h.

Wie gesagt, jetzt muss ich erstmal wieder mit der Software kämpfen.
MfG

Benutzeravatar
Carsten Hölscher
Administrator
Beiträge: 33450
Registriert: 04.07.2002 00:14:42
Wohnort: Braunschweig
Kontaktdaten:

#15 Beitrag von Carsten Hölscher »

Delphi 7 gibt's auch als kostenlose Personal-Version.

Zum eigentlichen Thema werde ich mich mal bei Bedarf melden...viel Erfolg!

Carsten

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#16 Beitrag von ImmoBirnbaum »

Delphi 7 nutzt mir aus 2 Gründen gar nicht mal so wahnsinnig viel:
1. Delphi 4 läuft auf meinem "Entwicklungsrechner", einem P75 mit
Win98. Läuft Delphi 7 dadrauf auch noch, vor allem, da die Festplatte
nur 850 MB gross ist?
2. Meine ganzen Programme, mit denen ich mühsam dem MSTS die
ganzen wichtigen Daten entlockt habe, sind alle in Delphi 4 geschrieben,
und bei Delphi 7 stimmen z.B. die Netzwerkkomponenten nicht überein.

Ausserdem: für Delphi 4 habe ich ne Menge Geld hingeblättert als
es neu war :P

Benutzeravatar
Carsten Hölscher
Administrator
Beiträge: 33450
Registriert: 04.07.2002 00:14:42
Wohnort: Braunschweig
Kontaktdaten:

#17 Beitrag von Carsten Hölscher »

einem P75 mit Win98
BildBildBild

D4 und D7 kann man problemlos parallel installieren und sogar auch öffnen und benutzen

Carsten
Zuletzt geändert von Carsten Hölscher am 13.12.2004 22:36:45, insgesamt 1-mal geändert.

Benutzeravatar
Daniel Schuhmann
Beiträge: 1147
Registriert: 21.04.2003 18:50:37
Aktuelle Projekte: Nüscht
Wohnort: Miesbach
Kontaktdaten:

#18 Beitrag von Daniel Schuhmann »

ImmoBirnbaum hat geschrieben:"Entwicklungsrechner"
Hat das was mit Entwicklungsland zu tun? :mua



Wie auch immer, ich habe mal eine veränderte uMain.pas hochgeladen, die das Problem beheben sollte. Bitte mal bescheidgeben, ob es hinhaut, ich hab leider mangels Delphi 4 keine Möglichkeit zum Testen.

Download

Daniel
Signaturen können bis zu 50 Zeichen lang sein und

ImmoBirnbaum
Beiträge: 1040
Registriert: 18.01.2004 12:51:32
Aktuelle Projekte: Objektbau in LOD0, Fahrpult, new adventures in VHDL
Wohnort: EPD

#19 Beitrag von ImmoBirnbaum »

Och mönsch, ihr seid alle so gemein ;(

Mein technischer Stand (bzw. mein Museum...) ist folgender:
mehr und vor Allem andere Computer braucht doch kein Mensch!

Bild

Kein Windows, kein Stress, einschalten und läuft...
naja, ich drifte ab in ideologische / technologische Grabenkriege.

Also, kommen wir zur Sache: erstmal DANKE an Daniel, die
Unit läuft jetzt und ich habe die Funktionen rund um das ClientSocket
auch in meine Tachosteuerung eingebunden. Hurra, Hurra, es funktioniert.
Am Wochenende kommt dann die Traktionsanzeige dran.

Hier mal wieder 2 Videos:

http://www.informatik.haw-hamburg.de/~b ... 010177.MOV
Der Autopilot fährt beim Bremsem am Bahnsteigende von Remagen
vorbei und über das halt zeigende Signal.

http://www.informatik.haw-hamburg.de/~b ... 010179.MOV
Noch ein wenig Autopilot-Material vom D802 im Zeitraffer.

Sorry, wenn das ganze ein wenig dunkel geworden ist und zu allem
Überfluss auch noch mein einer Deckenstrahler genau auf den Tacho
draufstrahlt. Meine Kamera ist halt nicht mehr so doll und die Lampe
wegzudrehen hatte ich auch gerade keine Böcke.

MfG

Antworten